您好,欢迎访问复旦大学微电子学院
陶 俊
教授 博士生导师
电        话:
51355381
邮        箱:
taojun@fudan.edu.cn
地        址:
复旦大学张江校区微电子楼317室
研  究  所:
设计自动化研究所

个人简介:

复旦大学微电子学院教授,IEEE高级会员。主要研究方向为集成电路计算机辅助设计,包括统计数据分析及系统优化等。已在本领域的权威国际期刊和会议IEEE Trans. on CAD、IEEE Trans. on VLSI、DAC、ICCAD等上发表论文数十篇。多次承担/参与国家自然科学基金、国家十一五/十二五科技重大专项等。担任IEEE Technical Committee on Very Large Scale Integration (TCVLSI) Newsletter副主编,国际学术会议IEEE ASPDAC, DVCon China等技术委员会成员,以及国际学术期刊IEEE Trans. on CAD、IEEE Trans. on VLSI、ACM Trans. on DAES,国际会议DAC、ICCAD等审稿人。


研究方向:

  • 统计数据分析

  • 混合信号系统优化

  • 集成电路自动化设计

  • 生物芯片设计与测试


教育及学术经历:

2022年-至今复旦大学微电子学院教授

2016年-2021年复旦大学微电子学院副教授

2012年-2013年美国卡内基梅隆大学电子计算机工程系访问学者

2008年-2015年复旦大学微电子学院讲师

2007年复旦大学微电子学系博士


学术任职:

IEEE Senior Member (IEEE高级会员)


代表性论文:

1.Zhengqi Gao, Fa Wang, Jun Tao, Yangfeng Su, Xuan Zeng, Xin Li, “Correlated bayesian model fusion: efficient high-dimensional performance modeling of analog/RF integrated circuits over multiple corners,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022. (Early access)

2.Jun Tao, Handi Yu, Yangfeng Su, Dian Zhou, Xuan Zeng*, Xin Li*, “Correlated rare failure analysis via asymptotic probability evaluation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 4, pp. 813-826, 2022.

3.Zhengqi Gao, Jun Tao*, Yangfeng Su, Dian Zhou, Xuan Zeng* and Xin Li*, “Fast statistical analysis of rare failure events with truncated normal distribution in high-dimensional variation space,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 3, pp. 789-793, March, 2022.

4.Fulin Peng, Handi Yu, Jun Tao*, Yangfeng Su, Dian Zhou, Xuan Zeng* and Xin Li*, “Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), vol. 39, no. 12, pp. 4971-4984, Dec., 2020.

5.Yan Li, Xiaoyoung Zeng, Zhengqi Gao, Liyu Lin, Jun Tao*, Jun Han*, Xu Cheng, Mehdi Tahoori* and Xiaoyang Zeng*, “Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit,” Design Automation Conference (DAC), 2020.

6.Zhengqi Gao, Jun Tao*, Fan Yang, Yangfeng Su, Dian zhou and Xuan Zeng*, “Efficient performance trade-off modeling for analog circuit based on Bayesian neural network,” International Conference on Computer Aided Design (ICCAD), 2019.

7.Jun Tao, Yangfeng Su, Dian Zhou, Xuan Zeng and Xin Li, “Graph-Constrained Sparse Performance Modeling for Analog Circuit Optimization via SDP Relaxation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018.

8.Jun Tao, Handi Yu, Yangfeng Su, Dian Zhou*, Xuan Zeng* and Xin Li*, Correlated Rare Failure Analysis via Asymptotic Probability Evaluation, IEEE Design Automation Conference (DAC), 2017.

9.Changhai Liao, Jun Tao*, Handi Yu, Zhangwen Tang, Yangfeng Su, Dian Zhou, Xuan Zeng*, Xin Li, “Efficient hybrid performance modeling for analog circuits using hierarchical shrinkage priors,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 12, pp. 2148-2152, 2016.

10.Handi Yu, Jun Tao*, Changhai Liao, Yangfeng Su, Dian Zhou, Xuan Zeng* and Xin Li, “Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation,” IEEE International Conference on Computer Aided Design (ICCAD), 2016.